askl正文 - 图文 联系客服

发布时间 : 星期三 文章askl正文 - 图文更新完毕开始阅读070635dad15abe23482f4d8c

基于EDA技术的ASK、FSK数字调制通信系统的设计

络解调。用两个窄带的分路滤波器分别滤出频率为f1及f2的高频脉冲,经包络检波后分别取出它们的包络。把两路输出把两路输出同时送到抽样判决器进行比较,从而判决输出基带数字信号。

设频率f1代表数字1,f2代表0,则抽样判决器的判决准则为

?x1?x2?0,判输入为f1信号? ?x1?x2?0,判输入为f2信号

式中,x1和x2分别为抽样时刻两个包络检波器的输出值。这里的抽样判决器,要比较x1、x2大小,或者说把差值x1-x2与零电平比较。因此,有时称这种比较判决器的判决门限为零电平。

当FSK信号为f1时,上支路相当于ASK系统接收“1”码的情况,其输出为正弦波加窄带高斯噪声的包络,它服从莱斯分布。而下支路相当于ASK系统接收“0”码的情况,输出为x2窄带高斯噪声的包络,它服从瑞利分布。如果FSK信号为f2,上、下支路的情况正好相反,此时上支路输出的瞬时值服从瑞利分布,下支路输出的瞬时值服从莱斯分布。

由以上分析可知,无论输出的FSK信号是f1或f2,两路输出总是一路为莱斯分布,另一路为瑞利分布,因此可判决出FSK信号。

n(t) 带通f1 滤波器 包络检 波器 x1 抽样判决器 uFSK 带通f2 滤波器 包络检 波器 x2 图5-7 FSK信号包络解调器方框图

5.3.3过零检测法

过零检测法方框图及波形如图5-8所示,它是利用信号波形在单位时间内与零电平

第 33 页 共 48 页

华北科技学院毕业设计(论文)

轴交叉的次数来测定信号频率。输入的FSK信号经限幅放大后成为矩形脉冲波,再经微分电路得到双向尖脉冲,然后整流得单向尖脉冲,每个尖脉冲表示信号的一个过零点,尖脉冲的重复频率就是信号频率的二倍。将尖脉冲去触发一单稳电路,产生一定宽度的矩形脉冲序列,该序列的平均分量与脉冲重复频率成正比,即与输入信号频率成正比。所以经过低通滤波器输出的平均分量的变化反映了输入信号频率的变化,这样就把码元“1”和“0”在幅度上区分开来,恢复出数字基带信号。

基带信号 FSK 限幅 微分 整流 宽脉冲发生器 低通 抽样判决

FSK 限幅 微分 全波整流

第 34 页 共 48 页

基于EDA技术的ASK、FSK数字调制通信系统的设计

脉冲展宽 取直流分量

图5-8 FSK过零检测法方框图及波形

5.4基于VHDL硬件描述语言的FSK频率键控法解调程序设计

5.4.1 2FSK解调的建模原理

FSK解调方框图如图5-9所示,FSK解调电路的VHDL建模符号如图5-10所示。其核心部分由分频器、寄存器、计数器和判决器组成。由于f1和f2的周期不同,若设

f1=2f2,且基带信号电平“1”,对应f1;基带信号电平“0”对应载波f2,计数器以f1为时钟信号,上升沿计数,基带信号“1”码元对应的计数个数为1/f1,基带信号“0”码元对应的计数个数为1/f2。计数器根据两种不同的计数情况,对应输出“0”和“1”两种电平。判决器以f1为时钟信号,对计数器输出信号进行抽样判决,并输出基带信号。

Clk 分频器q FPGA Start 计数器m 判决 基带信号 调制信号 寄存器xx

图5-9 FSK解调方框图

第 35 页 共 48 页

华北科技学院毕业设计(论文)

Clk Start X Clk Start y X y 图5-10 FSK解调电路的VHDL建模符号图

5.4.2 2FSK解调VHDL程序及仿真

(1)FSK解调VHDL程序 library ieee;

use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_FSK2 is

port(clk :in std_logic; start :in std_logic; x :in std_logic; y :out std_logic); end PL_FSK2;

architecture behav of PL_FSK2 is signal q:integer range 0 to 11; signal xx:std_logic;

signal m:integer range 0 to 5; begin process(clk) begin

if clk'event and clk='1' then xx<=x if start='0' then q<=0;

第 36 页 共 48 页