基于dds的数字式函数信号发生器设计论文 联系客服

发布时间 : 星期三 文章基于dds的数字式函数信号发生器设计论文更新完毕开始阅读0c8dd6b4590216fc700abb68a98271fe910eafca

景德镇陶瓷学院本科生毕业设计(论文)

控制灵活方便等方面,并具有极高的性价比。现已广泛应用于通讯、导航、雷达、遥控遥测、电子对抗以及现代化的仪器仪表工业等领域。

信号发生器是一种常用的信号源,广泛应用于电子测量、自动控制和工程设计等领域。随着电子技术的发展,对信号源频率的稳定度、准确度以及频谱纯度的要求越来越高。DDS(直接数字合成)技术是从相位概念出发直接合成所需波形的一种新的频率合成技术,与传统的模拟式波形产生法相比,它具有相位变换连续、频率转换速度快、分辨率高、稳定度高、相位噪声小、便于集成、易于调整及控制灵活等多种优点。基于DDS技术的信号发生器是一类新型信号源,它已成为众多电子系统中不可缺少的组成部分。

1.2 DDS技术的研究现状与发展趋势

自20世纪80年代以来各国都在研制DDS产品,并广泛地应用于各个领域。其中以ADI公司的产品比较有代表性,如AD7008、AD9850、AD9851、AD9852、AD9858等。其系统时钟频率从30MHz到300MHz不等,其中的AD9858系统时钟更是达到了1GHz,这些芯片还具有调制功能。如AD7008可以产生正交调制信号,而AD9852也可以产生FSK(Frequency Shift Key)、PSK(Phase Shift Key)、线性调频以及幅度调制的信号。这些芯片集成度高,内部都集成了D/A转换器,精度最高可达12bit。同时都采用了一些优化设计来提高性能,如这些芯片中大多采用了流水技术,通过流水技术的使用,提高了相位累加器的工作频率,而使得DDS芯片的输出频率可以进一步提高。通过运用流水技术在保证相位累加器工作频的前提下,相位累加器的字长可以设计得更长,如AD9852的相位累加器达到了48bit。这样输出信号的频率分辨率大大提高了。

运用DDS技术生产的DDS任意波型信号发生器是较新的一类信号源并且已经广泛投入使用。它不仅能产生传统函数信号发生器能产生的正弦波、方波、三角波、锯齿波,还可以产生任意编辑的波形。由于DDS的自身特点,还可以很容易的产生一些数字调制信号,如FSK、PSK等。一些高端的信号发生器甚至可以产生通讯信号。同时输出波形的频率分辨率、频率精度等指标也有很大的提高。如HP公司的 HP33120可以产生10mHz一15MHz的正弦波和方波。同时还可以产生10mHz一5MHz的任意波形,任意波形深度 16K点。采样率40M,还具备了调制功能,可以产生AM、FM、FSK、碎发、扫频等信号。HP公司的HP33250可以产生1uHz

第 2 页 共74页

景德镇陶瓷学院本科生毕业设计(论文)

一80MHz的正弦波和方波,产生1uHz一25MHz的任意波形,任意波形深度64K点,采样率200M,同时也具备了AM、FM、FSK、碎发、扫频等功能。BKPRECISION公司的4070A型函数级任意波形发生器正弦波和方波输出频率DC一21.5MHz,频率分辨率10mHz。同时还具有AM、FM、PM、SSB、BPSK、FSK、碎发、 DTMF Generation和 DTMF Detection的功能,并且具有与PC机良好的接口,可以通过window界面的程序进行任意波形的编辑。

除了在仪器中的应用外,DDS在通信系统和雷达系统中也有很重要的用途。通过DDS可以比较容易的产生一些通信中常用的调制信号如:频移键控 (FSK)、一进制相移键控 (BPSK)和正交相移键控(QPSK)。DDS可以产生两路相位严格正交的信号在正交调制和解中的到广泛应用,是一种很好的本振源。

但是DDS自身的特点决定了它存在着以下两个比较明显的缺点:一是输出信号的杂散比较大,二是输出信号的带宽受到限制。当然随着技术的发展,这些问题正在逐步得到解决,如通过增长波形ROM的长度减小相位截断误差;通过增加波形ROM的字长和D/A转换器的精度减小D/A量化误差;在比较新的DDS芯片中普遍都采用了12bit的D/A转换器。当然一味靠增加波形ROM的长度和字长的方法来减小杂散,对性能的提高总是有限的。国内外学者在对DDS输出的频谱做了大量的分析以后,总结出了误差的频域分布规律建立了误差模型,在分析DDS频谱特性的基础上又提出了一些降低杂散功率的方法:可以通过采样的方法降低带内误差功率,可以用随机抖动法提高无杂散动态范围(在D/A转换器的低位上加扰打破DDS输出的周期性,从而把周期性的杂散分量打散使之均匀化)。在本文的第六章也将提到一种抑制DDS杂散性的方法,即将DDS与锁相环 (Phase一Locked Loop, PLL)技术相结合。

虽然,DDS技术的出现使得信号源的性能指标得到了飞跃,各种新的产品不断推出,但是,目前市场上的信号源产品大多是通用型的,一般只能产生正弦波等标准波形。而不同领域需要不同的信号源,例如在雷达、通信等领域,就需要短波信号源,要求其具有频移键控、调频、调相等调制功能。所以,在很多应用中,都需要自己设计不同功能的信号源。

1.3 选题研究的目的及意义

信号源作为一种信号产生的装置己经越来越受到人们的重视,它可以根据用

第 3 页 共74页

景德镇陶瓷学院本科生毕业设计(论文)

户的要求,产生自己所需要的波形,具有重复性好,实时性强等优点,己经逐步取代了传统的函数发生器。本课题的目的就是设计开发出一个能产生正弦波、方波、三角波等波形的信号源,信号源的频率准确度为10-4,频率稳定度为10-5,频率范围1Hz—1MHz,波形失真小于1%,而直接数字频率合成技术是研制该系统所要解决的关键技术,因此对本文的研究不仅具有理论意义而且具有实用价值。

1.4 研究内容及目标

? 电源电压 单相220V±10% ? 电源频率 50Hz±2Hz ? 输出频率 1Hz~1MHz ? 频率准确度: 10-4 ? 频率稳定度: 10-5 ? 输出电压 0~3V ? 输出功率 ≥1W

? 输出波形 正弦波、方波、三角波(可以扩展编辑其他任意波形) 波形失真 ≤1% 本文的主要工作体现在以下几点:

(l)研究信号源设计的主要技术基础,分析模拟合成法和直接频率合成法等传统设计方法的局限性以及DDS技术在信号发生器中应用的优势。

(2)阐述AD9850直接数字频率合成器芯片的基本原理和性能特点。给出了一种基于DDS芯片AD9850的信号源设计的总体方案,并设计开发了相应的硬件系统。信号源的频率准确度为10-4,频率稳定度为10-5,频率范围1Hz—1 MHz。在得到正弦信号波形的同时可以输出方波和三角波。

(3)给出了系统的软件设计思想,并予以实现。用AT89C52的汇编语言编程实现将键盘输入频率/相位值转化为AD9850的频率/相位控制字,从而产生所需的波形。

第 4 页 共74页

景德镇陶瓷学院本科生毕业设计(论文)

2 DDS信号源设计技术基础

2.1 频率合成技术

频率合成是指以一个或多个参考频率源为基准,在某一频段内,综合产生并输出多个工作频率点的过程。基于此原理制成的频率源为频率合成器,简称频综。频率合成技术是现代通讯电子系统实现高性能指标的关键技术之一,很多电子设备的功能实现都依赖于所用频率合成器的性能,因此人们常将频率合成器喻为众多电子系统的“心脏”,而频率合成理论也因此在20世纪得到了飞跃的发展。 2.1.1 频率合成技术指标:

频率合成技术有着诸多技术指标,这些技术指标决定了频率合成技术的特性 及优缺点,下面介绍一些基本的频率合成技术的技术指标。

(l)频率范围。频率合成后生成频率的波动范围,由最小合成频率fmin。和最大合成频率fmax、决定,合成的频率介于两者之间。也常用相对带宽丫来衡量频率范围。

?f??fmax?fmin??100% 公式(2-1)

??fmax?fmin?2?(2)分辨率。频率合成后两相邻相位点之间的间隔,不同要求的频率合成对分辨率的要求差别很大。

(3)切换时间。从发出频率切换的指令开始,到频率切换完成,并进入允许的相位误差范围所需要的时间。它与频率合成的方式密切相关。

(4) 频率准确度。指振荡器实际的频率值fx对其标称值f0的相对偏离,即

e?fx?f0。 f0(5)频率稳定度。指在一定的时间间隔内,频率准确度的变化,分为长期频率稳定度、短期频率稳定度。

(6)长期频率稳定度。频率源在规定的外界条件下,在一定的时间内工作频率的相对变化,它与所选用的参考源的长期频率稳定度相同。

(7)短期频率稳定度。主要指各种随机噪声造成的瞬时频率或相位起伏,即相位噪

第 5 页 共74页