基于EDA的交通灯控制系统设计 联系客服

发布时间 : 星期六 文章基于EDA的交通灯控制系统设计更新完毕开始阅读41117c79250c844769eae009581b6bd97e19bc4e

Q3<=TIME2H;Q4<=TIME2L; END IF;

ELSE Q1<=TIME1H;Q2<=TIME1L; --支路无车辆不减计时

Q3<=TIME2H;Q4<=TIME2L;

END IF; END PROCESS ZS;

YM:PROCESS (num,numseg) BEGIN

CASE num IS

WHEN \WHEN \WHEN \WHEN \WHEN \WHEN \WHEN \WHEN \WHEN \WHEN \ WHEN OTHERS=>NULL; END CASE;

END PROCESS YM; sel<=numsel; seg<=numseg; END one;

5.4 顶层文件:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL;

精选范本

USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY jtd IS

PORT (clk:IN STD_LOGIC; --动态扫描时钟

car:IN STD_LOGIC; --支路车辆传感信号 led:OUT STD_LOGIC_VECTOR(5 DOWNTO 0); --交通灯信号 sel:OUT STD_LOGIC_VECTOR(2 DOWNTO 0); --数码管位码 seg:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); --数码管段码

END jtd;

ARCHITECTURE one OF jtd IS COMPONENT fP

PORT(clK:IN STD_LOGIC;

CLK1S:OUT STD_LOGIC);

END COMPONENT; COMPONENT kz

PORT(CLK1S,car:IN STD_LOGIC;

TIME1H,TIME1L:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); TIME2H,TIME2L:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); count:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); led:OUT STD_LOGIC_VECTOR(5 DOWNTO 0));

END COMPONENT; COMPONENT xs

PORT(clK,CLK1S,car:IN STD_LOGIC;

TIME1H,TIME1L:IN STD_LOGIC_VECTOR(3 DOWNTO 0); TIME2H,TIME2L:IN STD_LOGIC_VECTOR(3 DOWNTO 0); count:IN STD_LOGIC_VECTOR(6 DOWNTO 0); sel:OUT STD_LOGIC_VECTOR(2 DOWNTO 0); seg:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));

END COMPONENT;

SIGNAL CLK1S:STD_LOGIC;

精选范本

SIGNAL count:STD_LOGIC_VECTOR(6 DOWNTO 0);

SIGNAL TIME1H,TIME1L,TIME2H,TIME2L:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN

U1:fp PORT MAP(CLK=>clk,CLK1S=>CLK1S); U2:

kz

PORT

MAP

(CLK1S=>CLK1S,car=>car,count=>count,led=>led,TIME1H=>TIME1H, TIME1L=>TIME1L,TIME2H=>TIME2H,TIME2L=>TIME2L); U3:

xs

PORT

MAP

(clk=>clk,CLK1S=>CLK1S,car=>car,count=>count,sel=>sel,seg=>seg, TIME1H=>TIME1H,TIME1L=>TIME1L,TIME2H=>TIME2H,TIME2L=>TIME2L); END;

6 系统仿真与分析

6.1 仿真结果

利用quartusII软件对顶层实体程序进行编译,生成了可以进行仿真定时分析以及下载到可编程器件的相关文件,然后进行仿真,即可得到最后仿真结果。仿真结果如图6.1所示:

精选范本

图6.1仿真结果

6.2 仿真结果分析

通过仿真结果可以得出:开始时,支干道没有车辆行驶。主干道处于常通行状态,支干道处于禁止状态;当支干道有车来时,主干道亮绿灯,经行45秒倒计时,支干道亮红灯,经行50秒倒计时;主干道45秒倒计时结束后跳变到黄灯,进行5秒倒计时,支干道继续亮红灯,进行倒计时;主干道5秒倒计时结束后跳变到红灯,经行30秒倒计时,支干道跳变到绿灯,进行25秒倒计时;支干道25秒倒计时结束后跳变到黄灯,进行5秒倒计时,主干道继续亮红灯,进行倒计时;支干道5秒倒计时结束后,判断支干道是否有车,若有车跳变到S1状态,没有车跳变到S0状态

7 设计总结

精选范本