计算机组成原理实验指导手册(Quartus II ) 联系客服

发布时间 : 星期一 文章计算机组成原理实验指导手册(Quartus II )更新完毕开始阅读42764ca7f524ccbff121846a

计算机组成原理实验指导书

目 录

第一部分 Quartus II的操作 .................................................................................. 1 第1章 Quartus II 简介 ......................................................................................... 1 1.1 Quartus II软件 ......................................................................................................... 1 1.2 Quartus II软件的设计流程 .................................................................................. 1 1.3 Quartus II软件的用户界面 .................................................................................. 3 第2章 Quartus II 2.1的安装 ................................................................................ 6 2.1 安装软件 ................................................................................................................... 6 2.2 安装license ................................................................................................................ 6 第3章 Quartus II 2.1的使用 ................................................................................ 8 3.1 图形用户界面 .......................................................................................................... 8 3.2 设计模式 ................................................................................................................... 8 3.3 设计步骤 ................................................................................................................... 8 3.3 原理图设计与编译 ................................................................................................ 9 3.4 创建向量波形文件 .............................................................................................. 16 第4章 设计结果下载.......................................................................................... 21 4.1 安装下载电缆驱动程序 ..................................................................................... 21 4.2 器件的选择与引脚的锁定与下载 ................................................................... 28 4.3 实验箱的设置与I/O引脚 .................................................................................. 31 第二部分 实验内容.............................................................................................. 46 实验1 Quartus Ⅱ的使用 ...................................................................................... 46 实验2 运算器组成实验....................................................................................... 47 实验3 半导体存储器原理实验........................................................................... 50 实验4 数据通路的组成与故障分析实验........................................................... 51

- 1 -

计算机组成原理实验指导书

第一部分 Quartus II的操作 第1章 Quartus II 简介

1.1 Quartus II软件

Quartus II软件是Altera公司的综合开发工具,它集成了Altera的FPGA/CPLD(复杂可编程逻辑器件Complex Programmable Logic Device,CPLD/现场可编程器件Field Programmable Gate Array,FPGA)开发流程中所涉及的所有工具和第三方接口。通过使用此综合开发工具,设计者可以创建、组织和管理自己的设计。

Quartus II为电路设计者提供了完整的多平台设计环境,它可以满足众多特定设计的需要。Quartus II拥有CPLD/FPGA各个开发阶段对应的开发工具,设计者通过它的集成开发环境可一次性完成整体应用的开发。

1.2 Quartus II软件的设计流程

Quartus II 软件为设计流程的每个阶段提供Quartus II 图形用户界面、EDA 工具界面以及命令行界面。用户可以在整个流程中只使用这些界面中的一个,也可以在设计流程的不同阶段使用不同界面。下图1-1所示是利用Quartus II完成应用开发的流程。

图1-1 设计流程

1. 设计输入

设计输入是CPLD/FPGA开发阶段的第一步.它完成了器件的硬件描述。Quartus II提供了以下多种设计输入的工具。

● 文本编辑器

利用该工具完成硬件描述语言程序文件的编写、修改与保存。 ● 块与符号编辑器

该工具用于查看和编辑代表宏功能、宏功能模块、基本单元或设计文件的预定义符号。

- 1 -

计算机组成原理实验指导书

● MegaWizard插件管理器

Quartus II提供了许多Altera公司的宏功能模块,可以在设计文件中与门和触发器等基本单元一起使用。利用MegaWizard插件管理器可将这些功能强大的宏功能模块插入到设计中。

● 约束编辑器

利用该工具可为设计指定初始约束条件,例如引脚分配、器件选项、逻辑选项和时序等约束条件。

● 布局图编辑器

利用该工具可以查看上一次编译期间执行的资源分配和布线结果(该结果是只读的,不可通过编辑器更改)。绝大多数的设计者往往只会使用到前3种设计输入工具,约束编辑器只在特定环境下(如需要对器件编译或编程进行必要条件约束时)才会用到,而布局图编辑器是提供接近物理器件内部布线编程的工具,很少会被设计者使用。

2. 综合

Quartus II提供了如下综合工具: ● 分析和综合器

该工具调用了Quartus II的内置综合器,它支持最新版本的Verilog和VHDL,并最终生成EDIF网表文件(.edf)或VQM文件(.vqm)。

● 辅助工具

Quartus II在设计综合期间提供了辅助工具,用于检查设计的可靠性。 ● RTL查看器

利用该工具可查看硬件描述最终的综合结果,并给出形象的电路元器件图表。 3. 布局连线

布局连线是将设计综合后的网表文件映射到实体器件的过程。该过程包括:将设计工程的逻辑和时序要求与器件的可用资源相匹配;将每个逻辑功能分配给最好的逻辑单元位置,进行布线和时序分析;选择相应的互连路径和引脚分配。Quartus II提供了以下丰富的布局连线工具。

● Fitter工具

如果设计者利用约束编辑器指定了编译约束条件,那么Fitter工具试图将设计约束与器件上的资源相匹配,并努力满足约束条件,然后试图优化设计中的其余逻辑。如果设计者未指定任何约束条件,那么Fitter工具将自动优化设计。

● 约束编辑器

利用该工具可为设计指定初始约束条件,例如引脚分配、器件选项、逻辑选项和时序等约束条件。

● 布局图编辑器

利用该工具可以查看上一次编译期间执行的资源分配和布线结果(该结果是只读的,不可通过编辑器进行更改)。

● 芯片编辑器

利用该工具可以显示芯片内部完整的布线信息,显示每个器件资源之间的所有可能和使用的布线路径。

● 增量布局连线工具

如果设计者所做的更改仅影响少数节点,可利用该工具避免运行全编译。Quartus II的增量布局连线工具将尽量保留以前编译的布局连线结果,以较快的速度完成新的编译。

在布局连线过程中,设计者还会遇到“整体设计工程更改管理”的情况,这种工程更改管理是指在完成全编译之后,使用芯片编辑器查看设计布局布线详细信息,并确定要更改的资源,从而避免了过多地修改设计源文件或Quartus II设置。

4. 时序分析

Quartus II提供了专用的时序分析器,可用于分析设计中的所有逻辑,并有助于指导Fitter工具达到设计的时序要求。时序分析的结果包括fMAX(最大频率)、tSU(时钟建立时间)、tH(时钟保持时间)、tCO(时钟至输出延时)、tPD(引脚至引脚延时)、最小tCO和最短tPD。

5. 仿真

Quartus II提供了功能仿真和时序仿真两种仿真工具,其功能十分强大。设计者视所需的信息类型而定,可以进行功能仿真以测试设计的逻辑功能,也可以进行时序仿真,在目标器

- 2 -

计算机组成原理实验指导书

件中测试设计的逻辑功能和最坏情况下的时序。在时序仿真过程中,Quartus II可根据设计者提供的向量波形文件( .vwf)、间量表输出文件(.tbl)、向量文件(.vec)和仿真基准文件(.tbl)格式的波形文件进行仿真,输出仿真波形。除此之外,Quartus II还可以估计在时序仿真期间当前设计所消耗的功率。

6. 器件编程与配置 Quartus II编译成功后,设计者就可以对器件进行编程或配置了。器件编程器使用编译过程中的Assembler工具生成的POF和SOF文件对器件进行编程,其编程模式有4种。

● 被动串行模式:该模式可实现对多个器件进行编程。 ● JTAG模式:该模式也可实现对多个器件进行编程。

● 主动串行编程模式:该模式可实现对单个串行配置器件进行编程。 ● 插座内编程模式:该模式可实现对单个CPLD或配置器件进行编程。

1.3 Quartus II软件的用户界面

Quartus II软件启动后的主界面如图1-2所示,由标题栏、菜单栏、工具栏、资源管理窗、编译状态显示窗、信息显示窗和工程工作区等部分组成。

图1-2 Quartus II软件主界面

下面分别介绍各个部分的作用和使用方法。 1. 标题栏

标题栏显示当前工程的路径和程序的名称。 2. 菜单栏

菜单栏主要由文件(File)、视图(View)、工程(Project)、操作(Processing)、资源分配(Assignments)、调试(Debug)、工具(Tools)、窗口(Window)和帮助(Help)等下拉菜单组成。其中工程(Project)、资源分配(Assignments)、操作(Processing)、工具(Tools)集中了Quartus II软件较为核心的全部操作命令,下面分别介绍。

(1) Project菜单

该菜单项主要完成对工程的一些操作。 ● 【Add/Remove Files in Project】:添加或新建某种资源文件。 ● 【Revisions】:创建或删除工程,在其弹出的窗口中单击“Create…”按钮创建一个新

- 3 -