uvm实战-学习笔记 联系客服

发布时间 : 星期四 文章uvm实战-学习笔记更新完毕开始阅读4f6326b027d3240c8447efe9

《UVM实战(卷1)》 学习笔记

看了第1/2/3/4/5/6/8/9.1 这几个章节。

第一章是综述,第二章是一个具体的例子,学习笔记从第三章相关内容开始。 我个人觉得UVM重要的部分(特点的部分): 1) factory机制(override config_db) 2) TLM传递 3) phase机制

4) sequence-sequencer 以及virtual seq/sqr 内容中的截图基本来自于 UVM源代码、书自带的例子和《uvm1.1应用指南及源代码分析》这个PDF里的。 需要结合书(《UVM实战(卷1)》第1版)来看这个笔记。

第3章 UVM基础

3.1 uvm_component和uvm_object

常用的类名字:

这个图是从作者张强的《uvm1.1应用指南及源代码分析》里截得,不如书上3.1.1里的图好。uvm_sequencer也是代码里必须有的,所以我加了uvm_sequencer

uvm_void是一个空的虚类。在src/base/uvm_misc.svh中定义:

红框的是我们搭testbench的时候用的比较多的基类。

常用的uvm_object派生类:

sequencer给driver的transaction要派生自uvm_sequence_item,不要派生自uvm_transaction 所有的sequence要派生自uvm_sequence或者uvm_sequence的派生类,可以理解为sequence是sequence_item的组合(集合)。 driver向sequencer索要item,sequencer检查是否有sequence要发送item,当发现有item待发送时,就把这个item发给driver.

常用的uvm_component派生类:

所有的driver要派生自uvm_driver. driver用来把sequence_item中的信息驱动到DUT端口上,从transaction-level向signal-level的转换。 uvm_driver需要参数(REQ RSP),比uvm_component增加了几个成员。重要的是seq_item_port和req/rsp. (src/comps/uvm_driver.svh)

monitor/scoreboard 派生自 uvm_monitor和uvm_scoreboard, 但是uvm_monitor和uvm_scoreboard并没有在uvm_component基础上做扩展。 src/comps/uvm_monitor.svh

sequencer要派生自uvm_sequencer. sequencer做了很多扩展,但是如果我们自己写的sequencer里没有增加成员的话,可以直接写如下代码: typedef uvm_sequencer #(传递的sequence_item类名) sequencer类名; 因为sequencer在agent中例化,所以一般写在agent类文件里。 reference_model派生自uvm_component. agent要派生自uvm_agent. uvm_agent里多了一个is_active的成员。一般根据这个active来决定是否实例化driver和sequencer. is_active变量的数值需要在env的build_phase里设置完成(可以直接设置,也可以用uvm_config_db#(int)::set)。 env要派生自uvm_env. uvm_env没有对uvm_component扩展。 src/comps/uvm_env.svh

所有的test都要派生自uvm_test或者它的派生类。uvm_test也没扩展 src/comps/uvm_test.svh

uvm_object和uvm_component的macro

macro非常重要,事关把这些类的对象注册到factory机制中去。 uvm_object macro

1)对于uvm_sequence_item就统一用(假设不用parameter): `uvm_object_utils_begin(item类名) …. field_automation… `uvm_object_utils_end

2)对于uvm_sequence,要加上 `uvm_object_utils(sequence 类名)

可能还需要`uvm_declare_p_sequencer(sequencer类名)的声明

uvm_component macro