niosII常见错误及解答 联系客服

发布时间 : 星期一 文章niosII常见错误及解答更新完毕开始阅读519b06badcccda38376baf1ffc4ffe473268fdc3

说明,这种方法只是汉化了eclipse,对NIOS II没有汉化,但这个软件已经大部分为中文了,因为NIOS II 只是eclipse一个插件。对于我们新手来说这还是必要的。

Cannot start device configuration because no programming options have been selected for device chain

Message seen in: the Quartus II Programmer, after clicking \art\

Suggested solution: Make sure there is a check in the \m/Configure\box. Click the box to add (or remove) the check-mark. See our tutorial for details.

Confirm Perspective Switch - This kind of launch is configured to open the Debug perspective when it suspends. - Do you want to open this perspective now?

Message seen in: a message-box in the Nios II IDE, when you start the debugger.

Discussion and suggested solution: This is not really an error. Check the box \my decision\then click \in response to this message. The Debug perspective has other sub-windows than the workspace perspective; several of these new sub-windows are essential for a successful debugging session.

/cygdrive/c/altera/72/nios2eds/components/altera_hal/build/:81: *** multiple target patterns. Stop.

Message seen in: the console window of the Nios II IDE, when you try to build or run your project.

Discussion and suggested solution: When you started Nios II IDE, perhaps you selected a workspace path in \Documents\ which is a Windows-alias for a path with spaces in it. The Nios II IDE cannot handle paths with spaces. Select File - Switch Workspace and select a workspace location with no spaces in the path. See our tutorial for recommendations.

Alternatively, when you created your project, perhaps you selected a PTF file in \Documents\which is a Windows-alias for a path with spaces in it. The Nios II IDE cannot handle paths with spaces. Move or copy your PTF file to another location, preferably the workspace directory. See our tutorial for details.

Error! : Failed memory access in component cpu - Unable to read data from invalid memory address 0x0

Error! : Simulation failed in component cpu at instruction 5004016 (PC=0x0 instr =0x00000000).

Message seen in: the console window of the Nios II IDE, when you try to run your project in the Instruction Set Simulator.

Discussion and suggested solution: There could be several reasons for this message. If you use interrupts with an assembly-l

anguage initialization, please double-check that you really did copy the stub, and that you enabled interrupts with the correct index. In any assembly-language subroutine, please check that you saved the return-address register ra (r31) before calling any other subroutine, and that you restored the original value of ra before executing the ret instruction. In C-language code, perhaps you followed an unitialized pointer (using the * operator).

Errors exist in a required project. Continue launch? Message seen in: a message-box in the Nios II IDE, when you try to run your project.

Discussion and suggested solution: Always click \in response to this message. The message only appears when there is a fatal problem with some program you have written. Compilation, assembly or linking failed, so there is nothing to run. Check the console window for further information, and then check your source code files. Once again: Always click \in response to this message!

Illegal project location. Directory is not writable: C:\\Documents and Settings\\someusername\\My Documents

Message seen in: the Nios II IDE. This message can appear at the top of the dialog-box when you create a new project in the Nios II IDE.

Discussion and suggested solution: When you started Nios II IDE, perhaps you selected a workspace path in \Documents\ which is a Windows-alias for a path with spaces in it. The Nios II IDE cannot handle paths with spaces. Select File - Switch Workspace and select a workspace location with no spaces in the path. See our tutorial for recommendations.

In function `alt_main': undefined reference to `main' Message seen in: the console window of the Nios II IDE, when you try to build or run your project.

Discussion and suggested solution: You must have a function or label called main. Ifmain is written in assembly-language, the label main must be declared global with the .global directive. See lab nios2time for examples.

local label '\(instance number 1 of a fb label) is not defined

Message seen in: the console window of the Nios II IDE, when you try to build or run your project.

Discussion and suggested solution: You have typed \instead of \The assembler believes that \is a local label (a