武汉理工大学毕设基于FPGA的数字交通灯控制器设计中期检查报告1 联系客服

发布时间 : 星期日 文章武汉理工大学毕设基于FPGA的数字交通灯控制器设计中期检查报告1更新完毕开始阅读5af93fc610a6f524ccbf85f4

武汉理工大学本科生毕业设计(论文)

中期进展情况检查报告

学生姓名: 导师姓名:

所属学院: 自动化学院

专业班级: 电气工程及其自动化1106班 设计(论文)题目:基于FPGA的交通灯控制器设计 检 查 日 期: 2015年3月15日

自从毕业设计开始进行到现在,经过收集相关的资料,现在对于所研究的项目已经有了一些理论上的理解,接下来将对这些天来已经得到的成果进行总结。

首先是对于本次毕业设计的研究课题——基于FPGA的交通灯控制器的设计,其相关的背景和设计意义,有了初步的了解。具体来说,在课题背景方面,因为城市交通在很大程度上控制和制约着这个城市经济的发展情况,若果一个城市交通情况较好,那么就能使得交通运输更加有效率,从而促进城市经济的发展;相反,不好的交通现状将会严重制约着经济的发展,交通状况对于城市的发展至关重要,它能够影响物流运输效率,进而反映出城市的基础设施建设情况以及运转效率。另一方面,城市人口和车辆的增加,使得城市交通情况变得更加复杂,城市交通面临着越来越严重的问题,其中比较明显的就是交通拥堵和交通安全问题。因此交通一方面它给人们的生活带来了很大的便利,也促进了经济的发展,在当今这个对速度和效率很重视的时代,交通更是有着难以替代的地位。

而要对地面交通情况进行实时控制,在每个主要的路口安装红绿灯已经是较为普遍比较早的交通管理方式之一,在我国这样人多,、路况复杂的情况来讲下,红绿灯的调节和通过交通灯合理规划控制车流量的作用就显得尤为重要。而现在,随着科学技术的飞速发展,智能交通灯系统也更加容易实现。但是,基于AT89C51单片机的交通灯控制系统,利用可编程控制器(PLC)设计出相应功能的交通灯控制器,相比于基于FPGA的控制器,设计的系统会使用更多的元件,使整个系统显得更加复杂,所以基于FPGA的控制器是本次设计的首选方案。

在确定了系统的主要器件之后,就可以根据此器件开始对交通灯控制器系统设计思路的确定。而本次毕设的设计目标是:在由一条主通道和支通道组成的十字路口,入口处由红,绿,黄三色信号灯组成的交通灯控制车辆运行。控制规则如下:红灯亮时,禁止该通道车辆通行;绿灯亮时,允许该通道车辆通行;黄灯亮时,提示该通道车辆停在禁行线外。要求设计交通灯控制系统的主控电路、定时电路、译码驱动电路等功能模块,给出逻辑赋值状态表并简化状态方程。设计交通灯控制器主控电路,并实现其VHDL语言实现。

根据以上描述设计的交通灯控制器所要完成的功能,可以将整个控制器系统分为实现不同功能的系统模块。首先是主控制器模块,这个模块是整个系统的核心部分,控制器模块程序描述的是交通灯所要实现的功能,通过控制器模块,可以根据交通规则或者实际情况,相应地改变交通灯控制器的工作状态,实现对路口车流量的控制。在这个系统的设计过程中,因为红绿黄灯显示的时间将会不同,所以要进行三种时间的定时,就要将主控制器模块输出的信号,利用一个置数模块对定时时间的选择进行控制,以选择出系统所需要的三种时间信号。定时计数器模块由主控制器模块确定计时的起始、终止和倒计时持续时间,以秒为单位倒

计时,通过主控制器模块确定交通灯的状态转换和持续时间,当倒计时数值减为零时,主控电路改变输出状态,电路进入下一个状态的倒计时。在将定时计数器模块的倒数计时数值显示出来的过程中,需要对其输出的信号进行译码,就要设计一个译码模块,输出相应的译码信号,并用数码管与译码模块相连接,由数码管显示当前计数值。

总的来说,已经完成的毕业设计的内容主要是交通灯控制器系统的研究背景及其意义,同时,基于交通灯的设计目标而得到的交通灯控制器的设计思路。