数字逻辑复习题 Microsoft Word 文档 联系客服

发布时间 : 星期一 文章数字逻辑复习题 Microsoft Word 文档更新完毕开始阅读6dabd2a4dd88d0d233d46a74

6. 时序电路的状态转移表如表2-1所示,设起始状态为S0,输入序列为X=01011101,则输出序列为________。

a f d e c b 东000

南001

西010

图1

北011 +: 100 -: 101

7. 低密度PLD由输入缓冲,__________,或功能,输出缓冲四部分功能电路组成。

8. ISP除了标准组态模式外,还有高速直通组态模式,________,单乘积项结构和________。 9. 逻辑系统包括_____________,处理部件和_____________三大子系统。

三、简答题(每小题5分,共15分)

1. 请列举7种常用的标准组合逻辑器件。2. 说明FLASH 存储器在理论和技术上的创新和特点。 3. 何为ASM图?它与程序流程图有何区别?

四、组合逻辑设计(12分)

设计一个如图1所示的六段显示的驱动译码器。它是为了显示图1所示的六个符号中的一个,实线表示亮,虚线表示不亮(图中e是垂直线,f是水平线)。设计的器件有三个输入A、B、C及六个输出a、b、c、d、e、f。

图中表示的三位数是输入码,即器件接受三位码,使适当的段亮。每一段的驱动电位是高电平。没有给出的码作为无关项。 (1) 列真值表。(2) 求最简逻辑表达式。(卡诺图)(3)画出用与非门实现的驱动a段的电路图。

五、时序逻辑设计(14分)

已知某同步时序逻辑电路的状态转移真值表如表1,其中X为外部输入信号,Z为输出信号,Q2 、Q1 是两个D触发器。要求:

(1)画出状态转移图;(2)写出输出方程和激励方程表达式。(3)画出电路图。

X00001111PSQ200110011Q101010101Q210010110NSQ110101010Z10000001

表1

六、VHDL语言设计(12分)

用VHDL设计一个4位双向移位寄存器。

七、小型控制器设计(14分)

某控制器ASM流程图如图2所示。执行部件中有两个寄存器用一个比较器进行比较。设控制器采用D触发器,状态周期发出的打入寄存器时序。试设计一个MUX型控制器电路。

RN、RX分别存放两个输入参数N(常量)和X(变量)

,并

T?T1?T2,其中T1用作D触发器状态改变时序,T2用作控制器

本科试卷(十)

一、选择题(每小题1分,共15分)

1.(9)10的余3码是_________。 A.1011 B.1010 C.1100 D.1001

2.最小项ABCD的逻辑相邻项是________。A.ABCD B. ABCD C. ABCD D. ABCD 3.测得某逻辑门输入A、B和输出F的波形如下图1-1,则F(A,B)的表达式是________。 A.F=AB B.F=A+B C.F?A?B D.F?AB

__

4.一位全加器(FA)的输入信号是___;输出信号是_____。A.

C.1,1,1;

Ai,Bi,Ci?1;Si,Ci B.Ai,Bi,Ci;Si,Ci?1

Si,Ci D.0,0,0;Si,Ci?1

5.8:3线优先编码器(74LS148)中,8条数据输入线

I0?I7同时有效时,优先级最高为I7线,则输出线Y2Y1Y0的值应是

_____。A. 000 B.010 C.101 D.111

6.组合逻辑电路消除竞争冒险的方法有_____。

A.修改逻辑设计 B.在输出端接入滤波电容 C.后级加缓冲电路 D.屏蔽输入信号的尖峰干扰

7.Moore和Mealy型时序电路的本质区别是_____。

A.没有输入变量 B.当时的输出只和当时电路的状态有关,和当时的输入无关 C.没有输出变量 D. 当时的输出只和当时的输入有关,和当时的电路状态无关

8. 8位移位寄存器,串行输入时经 个脉冲后,8位数码全部移入寄存器中。A.1 B.2 C.4 D.8 9.对于JK触发器(特性方程Qn?1?JQn?KQn),若J=K,则可完成 触发器的逻辑功能。

A.RS B.D C.T D.Tˊ

10.采用对称双地址结构寻址的1024?1的存储矩阵有____。A.10行10列 B.5行5列 C.32行32列 D.1024行1024列 11.FLASH是指_____。A.闪速存储器 B.一次可编程只读存储器 C.光擦可编程只读存储器 D.掩模式只读存储器 12.______相应的并联在一起。A.地址线 B.数据线 C.片选信号线 D.读/写线

13.若一块线路板上装有多块ISP器件,可对它们总的安排_______接口即可。A.2个 B.多个 C.n+1个 D.1个 14.用PLA进行逻辑设计时,应将逻辑函数表达式变换成______。

A.异或表达式 B.与非表达式C.最简“与—或”表达式 D.标准“或—与”表达式

15.数字系统是指_______。A.计数器 B.逻辑子系统的集合物 C.寄存器 D.加法器

二、填空题(每小题2分,共18分)

1. 同一个逻辑门电路,如果在正逻辑定义下实现“与非”功能,那么在负逻辑定义下实现________________功能。 2. 利用并项法A+A=1,ABC+ABC的简化表达式为_______________。

3. 七段译码驱动器用于显示十个阿拉伯数字0-9,数码管可采用_______________电路或_______________电路。 4. 数据选择器是一种______________输入,单路输出的逻辑构件。 5. D触发器的次态方程是Qn+1 =________。

6. 时序电路的描述方程通常有输出方程、状态方程和________方程。 7. 常用的寄存器存储部件有寄存器堆,________和________。

8. 一个5变量的与阵列, 列线是________条,一个与门的输入线是________条,最多有________个编程点。 9. 由顶向下的设计过程,实际上是把_____________划分成若干个分任务的过程。

三、简答题(每小题5分,共15分)

1. 什么是译码器和编码器?什么是优先编码器?2. 简述计数器的分类方法。3. 请说明数字系统的定义。

四、组合逻辑分析(12分)

已知某组合逻辑电路的输入A、B、C及输出F的波形如图1所示。

(1)列出真值表。

(2)画出卡诺图,写出最简逻辑函数表达式。 (3)画出用与非门实现的电路图。

五、时序逻辑分析(14分)

图1

四位二进制同步计数器74LS163改变模值的连接电路如图2。回答如下问题:

(1)构成模几计数器? (2)状态变化过程是什么?

(3)采用中规模集成计数器构成任意进制计数器的什么方法?(复位法、预置法)

输入 Cr LD L H H × L H P T cp D C B A 输出 QD QC QB QA × d × c × b × a

a

0 × × ↑ × × × × × × ↑ H H d c b a

x 1 Z=1 ↑ × × × × 计 数 六、VHDL语言设计(12分)

用VHDL设计布尔表达式F?(A?B?C)(A?B?C)(A?B?C)。七、小型控制器设计(14分)

某数字系统,它的ASM图如图3所示,设计计数器型控制器电路。 (1)列出状态转移真值表 (2)画出控制电路图

b 0 x 1 Z=1 c 0 x 1 Z=1 d 1 x 0 Z=1