数电设计报告 T触发器 联系客服

发布时间 : 星期日 文章数电设计报告 T触发器更新完毕开始阅读9ff5260d3968011ca2009106

T触发器的特征表: T 0 0 1 1 Q 0 1 0 1 J 0 1 1 0 计数 说明 保持不变 事实上只需要将JK触发器的两个输入端连在一起形成T端,就能构成T触发器。 因此可以得到T触发器的特征方程:

J=TQ’+T’Q 状态图:

T触发器是在基本触发器SR触发器基础上设计的,是由时钟控制的触发器。 因此,我们必须熟知SR触发器的动作特点,熟悉它的功能、工作原理、电路结构: 动作特点:1、只有当CLK变成有效电平时,触发器才能接收输入信号,并按照 输入信号将触发器的输出置成相应的状态;

2、 在CLK=1的全部时间里,S和R状态的变化都可能引起输出状态的

改变。

电路结构:由两个与非门构成SR锁存器和由两个与非门构成的输入控制电路。 工作原理:当CLK=0时,输出状态保持原来的不变; 当触发信号CLK变成高电平时,输出状态根据S和R的信号发生变化。

5 系统组成

名称 输入 符号说明 CLK T 输出信号Q、J 功能说明 CLK:同步控制信号 T:输入脉冲信号 输出结果信号当T=1时输出发生转变;T=0时,输出保持不变。 当输入信号中有0时则输出为1。 输出 器件 三端输入与非门 二端输入与非门 6 硬件设计

1、实验原理图:

2、 电路说明:

电路是由两个输入和两个输出构成,T为脉冲输入信号,CLK为触发器的控制信号,Q、J为输出信号。图中拥有两个三端输入的与非门和两个二端输入的与非门,当T、CLK、Q都为1时,则J就会发生翻转由0变成1。当T=0,其它不变时,则J不会发生翻转。 T触发器的框架图:

T是脉冲输入端,Q为输出端。

3、 仿真图

7 软件编程

1、源程序代码:

LIBRARY IEEE; //使用IEEE库可见

USE IEEE.std_logic_1164.ALL; //调用IEEE库中的程序包 ENTITY T_FF IS /建立实体T_FF

PORT(T,clock,reset:IN std_logic; //定义输入变量T、CLOCK Q,Qbar:OUT std_logic); //定义输出变量Q、Qbar END T_FF;

ARCHITECTURE behav OF T_FF IS //在T_FF中定义结构体behav BEGIN //开始并行处理 PROCESS(clock,reset)

VARIABLE state:std_logic; BEGIN

IF(reset='0')THEN state:='0'; ELSIF rising_edge(clock)THEN IF T='1'THEN

state:=NOT state; END IF; END IF;

Q<=state; //state发生变化时,Q的值在delta延迟不发生更新 Qbar<=NOT state; //Qbar在下一次输入时更新 END PROCESS; END behav;

2、 软件流程

输入端T 输入端clock 输入端reset 变量state reset=0 state=0 T=1 clock=1 state=1 输出端Qbar

Q=1 Qbar=0 输出端Q

3、 仿真图:

8 系统调试

1、程序调试

启动maxpluseII软件建立编程文件,敲入程序代码,点击保存。保存完后,点击运行按钮,则出现以下错误:

错误:Error:Line 1,File d;\\maxpluse\\vhdl\\wodechengxu.tdf

TDF syntax error:Expected ASSERT,CONSTANT,DEFINE,DESIGN,

FUNCTION,IF,OPTIONS,PARAMETERS,SUBDESIGH,orTITLE but found a symbolic name”LIBRARY”.

解决:通过在网上搜索以及问同学将错误改正;即,将程序复制,关闭 程序所在文档,重新建立文档,将程序粘贴进去。点击保存,此时 弹出所要保存的文件,将文件改为T_FF.vhd后点击OK键,此时 点击执行图标,在此执行程序即可。 运行结果: