数电设计报告 T触发器 联系客服

发布时间 : 星期日 文章数电设计报告 T触发器更新完毕开始阅读9ff5260d3968011ca2009106

2、原理图调试

一、错误:执行完后,提示:Error:Illegal node or pin name “Q”(ID Q’:61) 解决:将Q’改成J,然后点击执行图标即可。 运行结果:

二、问题:建立原理图后不会仿真

解决:1、在网上搜到maxpluseII的教程,查看后由于没有图片而 不明白没有解决掉,

2、通过询问老师,在老师的帮助下解决了问题。

9 附录

1、与非门:

真值表: A 0 0 1 1 B 0 1 0 1 Y 1 1 1 0

A 0 0 0 0 1 1 1 1

B 0 0 1 1 0 0 1 1 C 0 1 0 1 0 1 0 1 Y 1 1 1 1 1 1 1 0

2、原理图:

4、

原理仿真图:

4、程序源代码 LIBRARY IEEE;

USE IEEE.std_logic_1164.ALL; ENTITY T_FF IS

PORT(T,clock,reset:IN std_logic; Q,Qbar:OUT std_logic); END T_FF;

ARCHITECTURE behav OF T_FF IS BEGIN

PROCESS(clock,reset)

VARIABLE state:std_logic; BEGIN

IF(reset='0')THEN state:='0'; ELSIF rising_edge(clock)THEN IF T='1'THEN

state:=NOT state; END IF; END IF; Q<=state;

Qbar<=NOT state; END PROCESS; END behav;

5、程序仿真图

参考书目

1、 清华大学电子学教研组 编 阎石 主编 《数字电子技术基础》 第五版 北京高等教育出

2、 徐向民 主编 《数字系统设计及VHDL实践》 机械工业出版社