Quartus实验讲义 联系客服

发布时间 : 星期五 文章Quartus实验讲义更新完毕开始阅读b143f9f20242a8956bece47f

数字电路与逻辑设计实验指导书

实验一Quartus软件的基本操作

一、实验内容

1.熟悉Quartus软件的基本操作,了解各种设计方法(原理图设计、文本设计、波形设计)

2.用逻辑图和VHDL语言设计一个异或门。 二、电路要求

1.进实验室前,请写一份预习报告;进实验室时经指导老师检查后,才可上机操作。

2.预习报告内容有:

异或门的逻辑图;

用VHDL语言设计异或门;

3.实验结束前,要填写实验卡,将异或门的仿真波形画在实验卡上。 三、电路功能介绍

异或门(XOR)

用途:异或门是一种用途广泛的门电路。典型应用是作为加法器

的单元电路。

逻辑图

真值表

A 0 0 1 1 B 0 1 0 1 OUT 0 1 1 0 1

VHDL程序 数据流描述:

波形图

2

实验二 素数检测器的设计与仿真

一、实验内容

用逻辑图和VHDL语言设计素数检测器。 二、电路要求

1.进实验室前,请写一份预习报告;进实验室时经指导老师检查后,才可上机操作。

2.预习报告内容有:

素数检测器的逻辑图;

用VHDL语言设计素数检测器,用尽量多的方法来描述;

3.实验结束前,要填写实验卡,将以上2种电路的仿真波形画在实验卡上。 三、电路功能介绍

对于4位输入组合N=N3N2N1N0,当N=1、2、3、5、7、11、1 3时该函数输出为1,其他情况输出为0”

逻辑图

四位素数检测器的标准和设计

3

四位素数检测器最小化后的设计

真值表 VHDL程序 参考教材

实验三 三态门,OC门的设计与仿真

一、实验内容

1.用逻辑图和VHDL语言设计三态门,三态门的使能端对低电平有效。 2.用逻辑图和VHDL语言设计一个OC门(集电极开路门)。 二、电路要求

1.进实验室前,请写一份预习报告;进实验室时经指导老师检查后,才可上机操作。

2.预习报告内容有:

三态门、OC门的逻辑图;

用VHDL语言设计三态门、OC门,用尽量多的方法来描述;

3.实验结束前,要填写实验卡,将以上2种电路的仿真波形画在实验卡上。 三、电路功能介绍

1.三态门,又名三态缓冲器(Tri-State Buffer)

用途:用在总线传输上,有效而又灵活地控制多组数据在总线上

通行,起着交通信号灯的作用。

逻辑图

4