EDA课程设计 - 篮球球比赛计分器 联系客服

发布时间 : 星期三 文章EDA课程设计 - 篮球球比赛计分器更新完毕开始阅读db48302d0066f5335a812196

use ieee.std_logic_1164.all; entity deled is port(

datain:in std_logic_vector(3 downto 0); qout:out std_logic_vector(6 downto 0) ); end deled;

architecture func of deled is begin

process(datain) begin

if datain= \ elsif datain= \ elsif datain= \ elsif datain= \ elsif datain= \ elsif datain= \ elsif datain= \ elsif datain= \ elsif datain= \ elsif datain= \ else null; end if;

end process; end func;

四、系统仿真

1、D触发器电路模块仿真波形:

2、移位寄存器模块电路仿真波形:

8

3、二选一数据选择器电路模块仿真波形:

4、加法计数器的电路模块仿真波形:

5、七段译码电路仿真波形:

9

五﹑硬件验证说明

这次设计采用的硬件电路有芯片EP1K10TC100-3,实验板上标准时钟电路、LED 显示等,

10

六、总结 七、参考书目

[1]《PLD与数字系统设计》李辉 西安电子科技大学出版社 2005 [2]《EDA技术及可编程逻辑器件应用实训》沈明山 北京科学出版社 2004 [3]《VHDL数字系统设计与高层次综合》林敏 方颖立著北京:电子工业出版社 2002

[4]《VHDL程序设计》曾繁泰 陈美金著北京: 清华大学出版社 2001 [5]《EDA技术实验与课程设计》曹昕燕 周风臣 清华大学出版社 2005 [6]《PLD器件与EDA技术》李冬梅 北京广播学院出版社2000

11