微波炉 联系客服

发布时间 : 星期六 文章微波炉更新完毕开始阅读e8af7b63866fb84ae55c8d4a

浙江科技学院

嵌入式系统B(EDA技术与应用)

课程设计报告

课题名称 院、系、部 专 业 班 级 姓 名 学 号 指导教师

2014年 11月 29日

基于MAX II系列CPLD的微波炉控制器芯片设计

自动化与电气工程学院

建筑电气与智能化 专升本131班

杜婷 3130351013 郑玉珍

目 录

第一章 绪论 .................................................. 2 第二章 设计目的 .......................................... 3 第三章 设计要求 .......................................... 3 第四章 设计思想 .......................................... 4 第五章 设计内容 .......................................... 5 5.1系统总体功能描述 ............................... 5 5.2状态控制器的设计 ............................... 8 5.3 数据装载的设计 ................................ 12 5.4烹调计时器counter的设计 ............... 14 5.5 显示译码器YMQ47的设计 ............ 19 5.6锁存器的设计..................................... 20 第六章综合设计 .......................................... 21 第七章 总结 ................................................ 22 【参考文献】 .............................................. 22

第一章 绪论

EDA技术是一种实现电子系统或电子产品自动化设计的技术,与电子技术、微电子技术的发展密切相关。EDA技术涉及面很广,内容丰富,从教学和实用的角度看,主要应掌握如下四个方面的内容:1)大规模可编程逻辑器件;2)硬件描述语

言;3)软件开发工具;4)实验开发系统。其中,大规模可编程逻辑器件是利用EDA技术进行电子系统设计的载体,硬件描述语言是利用EDA技术进行电子系统设计的主要表达手段,软件开发工具是利用EDA技术进行电子系统设计的智能化的自动设计工具,实验开发系统则是利用EDA技术进行电子系统设计的下载工具及硬件验证工具。大规模可编程逻辑器件PLD(Programmable Logic Device,可编程逻辑器件)是一种由用户编程以实现某种逻辑功能的新型逻辑器件。FPGA和CPLD分别是现场可编程门阵列和复杂可编程逻辑器件的简称。就FPGA/CPLD开发来说,比较常用和流行的HDL主要有VHDL、Verilog HDL、ABEL、AHDL、SystemVerilog和SystemC。其中VHDL、Verilog在现在EDA设计中使用最多,也拥有几乎所有的主流EDA工具的支持。而Sys-temVerilog和SystemC这两种HDL语言还处于完善过程中。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL与Verilog HDL语言将承担起大部分的数字系统设计任务

第二章 设计目的

随着社会的发展与科学的进步微波炉在人们的生活中使用非常普遍。微波炉内部的电子元件有不多,本设计只设计其中的核心部分控制器,此部分将用户的输入转换成控制信号,经过一系列变换,然后输出信号控制微波炉启动,加热,停止等操作,还输出给像数码管一样的用户可感知的电子器件。这是一个实用性很强的设计,没有特别复杂的功能要求,而且应用到了状态机,计时器,译码器等基本电子器件,在模块设计以及模块组合的过程中将加深我对硬件工作原理理解和认识。

本设计完成微波炉控制器的设计与分析。以VHDL语言为开发工具,MAX + PLUS II作为程序运行平台。通过设计和实验,对开发的程序进行调试运行和波形仿真测试验证,初步实现了设计目标。运用硬件描述语言VHDL,大大降低了硬件数字系统设计,使得程序设计直观简洁,设计效率高。

第三章 设计要求

要求设计一个基于MAX II系列CPLD的微波炉控制器专用芯片,器件型号

为EPM240T100C5,功能要求如下:

1、上电后,系统自动复位:数码管显示全零,功率选择小火档,显示“1”,三挡加热输出均为低电平;

2、可以进行烹调时间的分、秒设置,并使用数码管显示时间信息,设要求最长的烹调时间为59分59秒;

3、可以选择加热功率,分三档:高火、中火、小火,分别显示“3”,“2”,“1”;

4、按“开始”按键进入烹调状态,根据功率选择输出加热控制信号,时间显示数码管按每秒减1的倒计时方式显示剩余烹调时间;

5、烹调定时结束后,输出通知信号,系统回到复位状态。

第四章 设计思想

根据该微波炉定时控制器的设计要求,本系统有四个模块:状态控制模块controllor,数据装载模块loader,烹调计时模块counter,显示译码模块YMQ47,锁存器模块SCQ。

(1)状态控制器模块是控制微波炉工作过程中的状态转换,并发出有关的控制信息,输入信号为CLK,TEST,SET_T,START,TESET,DONE和SEL.输出信号为LD_DONE,LD_test,LD_CLK,PT,WB等。根据输入的控制信号来完成完成状态转换;LD_DONE指示LOADER装入烹调完毕的状态信息“DONE”的显示驱动信息数据;LD_CLK指示LOADER装入设置的烹饪时间数据;LD_test指示LOADER装入用于测试的数据“0000”以显示驱动信息数据;COOK指示烹调正在进行中,并提示计数器进行减计数,PT和WB是两种状态的选择显示信息。

(2)数据装载器LOADER的功能是根据CONTROLLOR发出的控制信号选择定时时间,测试数据或烹调完成信息的装入。当LD_DONE为高电平时,输出烹调完毕的信息数据;LD_CLK为高电平时,输出设置的烹饪时间数据;LD_test为高电平是,输出测试的数据。输出信号LOAD用于指示counter将处于数据装入状态。

(3)计时器counter的功能是负责烹调过程中的时间递减计数,并提供烹调完成时的状态信号供CONTROLLOR产生烹调完成信号。LOAD为高电平时完成装入功能,COOK为高电平时执行逆计数功能。输出DONE指示烹调完成。MIN_1,MIN_0,SEC_1,SEC_0为完成烹调所剩的时间及测试状信息“0000”,烹调完毕的状态信息“DONE”的BCD码信息。