EDA课程设计报告 联系客服

发布时间 : 星期一 文章EDA课程设计报告更新完毕开始阅读f2b118caf90f76c661371a98

EDA课程设计报告

江苏 大学

EDA课程设计报告

姓名: 专业:光信息科学与技术 班级: 1202 学号: 学院:机械工程 时间:2013年1月13日

1

EDA课程设计报告

题目一:十进制加法型计数器

设计要求:

设计一个十进制加法计数器,当完成一个计数循环之后,输出一个进位信号。

分析过程:

一个十进制加法计数器,输出管脚至少需要四位,故定义输出管脚Q3,Q2,Q1,Q0。另题目要求要有一个输出进位信号,故曾设进位输出管脚C。引脚CLK作为脉冲输出。

程序清单:

MODULE numone TITLE '0 to 9 adds' DECLARATIONS

Q3,Q2,Q1,Q0 PIN ISTYPE 'REG';// 输出管脚属性,reg寄存器型(该信号与时钟同步) CLK,C PIN ;//定义脉冲输出管脚及进位输出管脚 x,d=.x.,.d. ; //.X.为任意态,特殊常量不区分大小写。 Q=[Q3..Q0];//定义集合 EQUATIONS

Q.clk=CLK;//.CLK,边沿触发器时钟输入

WHEN(Q==9)THEN {Q:=0;C=1;}//Q至9,进位管脚输出1。下个脉冲到来时,Q清零。 ELSE {Q:=Q+1;C=0;}//Q未至9时,Q加1,进位管脚无信号输出 TEST_VECTORS

([CLK]->[Q3,Q2,Q1,Q0,C]) @REPEAT 30 {[d]->[x,x,x,x,x];} END

仿真波形:

结果分析:

每来一个脉冲,二进制Q3Q2Q1Q0变化一次,从0至9进行变化。当Q3Q2Q1Q0至9(即1001)时,C输出进位信号,即如仿真波形所示由0变为1。下个脉冲到来时,Q3Q2Q1Q0清零,即如仿真波形所示由1001变为0000。符合要求

2

EDA课程设计报告

题目二:奇偶校验电路

设计要求:

设计一个奇校验电路,输入数据为B4~B0,当B4~B0中1的个数为奇数时,输出Q为1;反之,当B4~B0中1的个数为偶数时,输出Q为0。

分析过程:

B4,B3,B2,B1,B0为数据输入管脚,Q为输出管脚。当B4~B0中1的个数为奇数时,输出Q为1;反之,当B4~B0中1的个数为偶数时,输出Q为0。用ABEL-HDL语言的逻辑函数表示即Q=((B4$B3)$(B2$B1))$B0。

程序清单:

MODULE numthree TITLE 'odd or even' DECLARATIONS B4,B3,B2,B1,B0 PIN;

Q PIN istype 'com';//定义输出管脚属性,com,组合型(该信号与时钟无关) X=.X.; EQUATIONS

Q=((B4$B3)$(B2$B1))$B0;//$,异或 TEST_VECTORS ([B4,B3,B2,B1,B0]->Q)

[0,0,0,0,0]->X; [0,0,0,0,1]->X;[0,0,0,1,0]->X; [0,0,0,1,1]->X; [0,0,1,0,0]->X; [0,0,1,0,1]->X; [0,0,1,1,0]->X;[0,0,1,1,1]->X; [0,1,0,0,0]->X;[0,1,0,0,1]->X; [0,1,0,1,0]->X; [0,1,0,1,1]->X; [0,1,1,0,0]->X; [0,1,1,0,1]->X; [0,1,1,1,0]->X; [0,1,1,1,1]->X; [1,0,0,0,0]->X;[1,0,0,0,1]->X; [1,0,0,1,0]->X; [1,0,0,1,1]->X; [1,0,1,0,0]->X; [1,0,1,0,1]->X; [1,0,1,1,0]->X; [1,0,1,1,1]->X; [1,1,0,0,0]->X; [1,1,0,0,1]->X; [1,1,0,1,0]->X;[1,1,0,1,1]->X; [1,1,1,0,0]->X; [1,1,1,0,1]->X; [1,1,1,1,0]->X; [1,1,1,1,1]->X; END

3

EDA课程设计报告

仿真波形:

结果分析:

如波形图所示,B4,B3,B2,B1,B0中有奇数个1,Q输出1;反之,输出0。符合要求

题目三:8路移位寄存型彩灯控制器

设计要求:

设计一个8路彩灯控制电路,要求:

(1)彩灯明暗变换节拍为0.25s和0.5s,两种节拍交替运行;

(2)演示花型3种:① 从左到右顺次序亮,全亮后逆次序渐灭;② 从中间到两边对称地逐渐亮,全亮后仍由中间向两边逐次渐灭;③ 8路彩灯分两半,从左至右顺次渐亮,全亮后则全灭。4 8路彩灯两路一组,从左到右顺次渐亮,全亮后从右到左顺次渐灭。 要求该系统能控制8路彩灯,以上述两种节拍、3种花型循环演示。

分析过程:

1)设计分析 根据题意,列出工作状态如下表1所示,Q7~Q0表示彩灯控制输出信号,S0~S17表示彩灯工作状态。

由下表1可知,该系统完成1个周期、4种花型的循环,共需33个脉冲,但独立状态共19种。即从S0~S18.

表 1 8路彩灯控制电路工作状态表 序号 0 1 2 3 4 5 6 7 8 9 Q7 0 1 1 1 1 1 1 1 1 1 Q6 0 0 1 1 1 1 1 1 1 1 Q5 0 0 0 1 1 1 1 1 1 1 Q4 0 0 0 0 1 1 1 1 1 1 Q3 0 0 0 0 0 1 1 1 1 1 Q2 0 0 0 0 0 0 1 1 1 1 Q1 0 0 0 0 0 0 0 1 1 1 Q0 0 0 0 0 0 0 0 0 1 0 状态 S0 S1 S2 S3 S4 S5 S6 S7 S8 说明 第 一 种 花 型 顺 序 4