基于VHDL的交通灯控制系统的设计